Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4.

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4."

Transcript

1 I Soluzioni agli esercizi apitolo 2 Soluzione 2.. Partendo dall espressione a destra dell uguale si applica ripetutamente il teorema di e Morgan ed infine la proprietà distributiva. Soluzione 2.2. cb + ca b + cabd + cad = c Soluzione 2.3. (x + y)(x + z) = xz + x y Soluzione 2.4. Una variabile è un simbolo che può assumere un valore appartenente alla base dell agebra ( oppure per l agebra di commutazione). Un letterale è l utilizzo di una variabile o del suo complemento in un espressione. Un termine è l espressione formata da letterali ed operatori ad un unico livello. Soluzione 2.5. i sono 3 variabili (a, b, c), 6 letterali (a,b,c,a,b,c) 2 termini prodotto (ab c, a bc) ed termine somma relativo alla congiunzione dei tre termini prodotto. Soluzione 2.6. (a) f(x, y, z) = xyz + x yz + xy z + xyz (b) f(x, y, z) = xy z + xyz Soluzione 2.7. (a) f(x, y, z) = (x + y + z )(x + y + z)(x + y + z)(x + y + z ) (b) f(x, y, z) = (x + y + z)(x + y + z)(x + y + z )(x + y + z ) Soluzione 2.8. s = (((x + y) + z)((xy) (x + y)) ), c = ((xy) (z(x + y)) ) Soluzione 2.9. z x y f Soluzione 2.. Soluzione 2.. Soluzione 2.2. f(x, y, z) = ((xy)w + wy ) = wy + w y + x y Soluzione 2.3. f(x, y, z) = z(x y) (x + y z) = xyz + x y z

2 x y w f x y z f a b f Soluzione 2.4. L espressione logica che consente di realizzare la funzione XNOR mediante il solo operatore NAN è f =!(!(!(!(ab)a)!(!(ab)b))!(ab)). La rete logica corrispondente è di seguito illustrata. apitolo 3 Soluzione 3.. Il valore corrisponde a (i) 65 6 = 357, (ii) 65 8 = 7 e (iii) 65 2 non è una codifica valida in base 2. Soluzione 3.2. Il valore corrisponde a (i) 6 = 52673, (ii) 8 = 3328 e (iii) 2 = 4 Soluzione 3.3. La codifica mediante ooth2 del moltiplicatore e la matrice dei prodotti parziali sono, rispettivamente, Y 2,ooth2 = [ ] e Soluzione 3.4. La codifica mediante ooth-2 del moltiplicatore e la matrice dei prodotti parziali sono, rispettivamente, Y 2,ooth2 = [ ] e

3 Soluzione 3.5. ato A2 6 si determina prima la codifica in base 2, convertendo ogni singolo simbolo esadecimale in quattro simboli binari, e si ottiene 2 sul numero minimo di bit necessari. A questo punto si converte tale valore in base 8, codificando ogni gruppo di tre bit mediante il corrispondente simbolo in base 8; la codifica ottenuta è Soluzione 3.6. La codifica si ottiene convertendo ogni singolo simbolo dell alfabeto base 8 nella configurazione di tre bit per la base 2: il risultato che si ottiene è 2 Soluzione 3.7. N = 2,325 Soluzione 3.8., 2 Soluzione 3.9. (i) con overflow, (ii), (iii), e (iv) Soluzione 3.. (i) con overflow, (ii) con overflow, (iii), e (iv) Soluzione 3.. Soluzione 3.2. La codifica mediante ooth-2 del moltiplicatore e la matrice dei prodotti parziali sono, rispettivamente, Y 2,ooth2 = [ 2 + ] e Utilizzando la codifica ooth- il moltiplicatore e la matrice dei prodotti parziali sono, rispettivamente, Y 2,ooth = [ + ] e Soluzione 3.3. La codifica mediante ooth-2 del moltiplicatore e la matrice dei prodotti parziali sono, rispettivamente, Y 2,ooth2 = [ 2 + 2] e Utilizzando la codifica ooth- il moltiplicatore e la matrice dei prodotti parziali sono, rispettivamente, Y 2,ooth = [ + ] e

4 3 apitolo Soluzione 4.. Su 5 bit le parole di codice one-hot sono,,, e. Una specifica formale valida è, per esempio, f = Σ{, 2, 4, 8, 6}. Soluzione 4.2. Ogni valore numerico nell intervallo [; 3] è rappresentabile su 2 bit. Indicando con A = [a a ] e = [b b ] tali valori la funzione A è rappresentata dalla seguente tabella della verità. a a b b f a a b b f Soluzione 4.3. L off-set è {,,,,, } da cui si ricava la funzione seguente: f(a, a, b, b ) = (a + a + b + b )(a + a + b + b )(a + a + b + b ) (a + a + b + b )(a + a + b + b )(a + a + b + b ) Soluzione 4.4. Applicando il teorema di Shannon e introducendo due parametri p e q per le condizioni di indifferenza si ottiene: f(x, y) = x y p + x y + xyq

5 4 Soluzione 4.5. Si hanno tre soluzioni, mostrate dalle mappe seguenti. cd ab cd ab cd ab Le funzioni corrispondenti sono: Soluzione 4.6. I costi sono i seguenti: f (a, b, c, d) = c d + bcd + ab d f 2 (a, b, c, d) = c d + bcd + a bc f 3 (a, b, c, d) = (c + d )(b + c + d)(a + b + d) AN OR NOT f f f Soluzione 4.7. La mappa di Karnaugh e gli implicati della copertura minima sono: cd ab La funzione f ha quindi l espressione: f(a, b, c, d) = d (b + c )(a + c) Soluzione 4.8. Le coperture in forma SOP (unica) e POS (due equivalenti) sono:

6 5 ab ab ab La funzione f ha quindi l espressione: f POS (a, b, c, d) = ab + cd f SOP, (a, b, c, d) = (c + d )(a + d)(b + d) f SOP,2 (a, b, c, d) = (c + d )(a + d)(a + b) Soluzione 4.9. Le coperture elle funzioni, considerate indipendenti sono: Le funzioni a bc a bc che si ottengono sono pertanto: f (a, b, c) = bc + ac f 2 (a, b, c) = bc + a c Soluzione 4.. li implicanti sono: P = ( ) = abc d P = ( ) = a b c P 2 = ( ) = cde P 3 = ( ) = bde alla tabella di copertura risulta che P 2 è essenziale per il mintermine e P 3 è essenziale per il mintermine 22. Questi due implicanti coprono completamente la funzione che risulta pertanto: f(a, b, c, d, e) = cde + bde

7 6 apitolo 6 Soluzione 6.. z A Soluzione 6.2. z R R Soluzione 6.3. z A A A A A A A A A A Soluzione 6.4. apitolo 7 Soluzione 7.. Soluzione 7.2. Soluzione 7.3.

8 7 / / A/ / / IN IN Q Q Q IN Q Q IN IN Q???? Q Q ???????? Q???? Soluzione 7.4. In corrispondenza di ogni fronte di salita del segnale di sincronismo i tre flip flop trasferiscono in uscita, con ritardo di propagazione 6, il valore presente in quell istante sul loro ingresso. Si osservi che, rispetto al caso trattato nell esercizio precedente, la funzionalità del sistema non dipende dal ritardo di propagazione dei flip flop se quest ultimo è inferiore al periodo. Il risultato della simulazione è riportato nella figura seguente.

9 8 IN 2 Q 2 5 Q Q 3 8 apitolo 8 Soluzione 8.. S/ S/ Soluzione 8.2. / / A/ / / Soluzione 8.3. Soluzione 8.4. apitolo 9 Soluzione 9.. Le tabelle delle implicazioni, prima e dopo la retropropagazione risul-

10 / 9 / / / / / A / / / / / / / / / / / A / tano come mostrato nella figura seguente. Le classi di equivalenza sono pertanto α = {R, } e β = {,, } e la tabella degli stati della macchina ridotta è quella mostrata. Tale macchina è minima ed unica.,,,, α β/ α/ β β/ β/ R R Soluzione 9.2. Le tabelle delle implicazioni, prima e dopo la retropropagazione sono: Le classi di massima compatibilità e la tabella degli stati ridotta risultano: Le classi di compatibilità prime sono:

11 R A,, A,,, A,,,,, A,,, A R R A,, A, A,, A,, A R α = {A,, } : {(A, ); (, )} β = {A,, } : χ = {A,, R} : {(, )} δ = {, } : {(A, R); (, )} α α/ δ/ β α; β; χ/ β/ χ α; β; χ/ α/ δ χ/ α/ {A,, } : {(A, );(, )} {A,, } : {A,, R} : {(, )} {, } : {(A, R); (, )} {A, } : {A, } : {A, R} : {, R} : {(A, ); (, )} {A} : {} : {} : {} : {R} : { } : La soluzione euristica, che risulta unica, è la seguente: α = {A,, }, β = {A,, R}, χ = {, }, δ = {A,, }. Soluzione 9.3. Le tabelle delle implicazioni, prima e dopo la retropropagazione sono:,,,,,, R, R Le classi di massima compatibilità sono: α = {R, }, β = {}, γ = {}, δ = {}. La macchina che ne risulta è minima ed unica. α γ/ β/ β γ/ δ/ γ γ/ γ/ δ α/ δ/

12 Soluzione 9.4. Punto (). La tabella delle implicazioni prima della propagazione, è: H I,,,, H,,,,,,, H,,, H, H, H,, A,, A, A, A,, A, A, A, A, A, H A, A H opo la retropropagazione dei vincoli si ottiene la seguente tabella delle implicazioni.

13 2 H I,,,,,,,,,,, A,, A, A, A,, A, A, A, A, A, H A, A H Si ottengono 4 classi di massima compatibilità e la nuova tabella degli stati ridotta: α = {A,,,, } : β = {A,,H, I} : {(,, A);(A,, )} χ = {, I} : {(A, )} δ = {, I} : {(A, H)} α α/ α/ β α/ α/ χ α/ β/ δ β/ / ato che δ è lo stato di reset, lo stato χ corrispondente alla classe {, I} : {(A, )} risulta irraggiungibile. La macchina minima risulta pertanto: α α/ α/ β α/ α/ δ β/ / Punto (ii). Le tabelle delle implicazioni sono: H,,,,,,,,,, H, H,,, A, A, A, A,, A, H, A, A,,,,,,,,,,,, A, A, A, A, A

14 3 Si ottengono le tre classi di massima compatibilità α, β e χ e da queste si ricava la macchina minima riportata di seguito. α = {A,,,,} β = {A,, H} χ = { } α α/ α/ β α/ α/ χ β/ / Il confronto delle due soluzioni ottenute al punto ed al punto 2 mostra che sono identiche. Questa circostanza, tuttavia, non è generalizzabile. Soluzione 9.5. Le tabelle delle implicazioni, prima e dopo la retropropagazione risultano come mostrato nella figura seguente. Le classi di equivalenza sono pertanto α = {A, }, β = {, }, χ = {,, H} e e la tabella degli stati della macchina ridotta è quella mostrata. Tale macchina è minima ed unica.,, H, H, H,, H H A A α / β/ β χ/ β/ χ χ/ α/ α/ α/ Soluzione 9.6. Le tabelle delle implicazioni, prima e dopo la retropropagazione risultano come mostrato nella figura seguente. Si ottengono 5 classi di massima compatibilità e una nuova tabella degli stati ridotta (5 stati al posto dei 6 di partenza): L applicazione dell euristica non modifca la soluzione; in particolare, le classi individuate sono le uniche classi di compatibilità prima e non esiste alternativa per la copertura degli stati, e. Si ottengono risultati differenti solo considerando o

15 4 A, A, A,,, A, A,, A, A A A : α = {, } : β = {, } : χ = {, } : : z A A α β A β β χ χ β αβoχ α A oppure come stati di reset e semplificando la macchina per eliminazione degli stati non raggiungibili; considerando A o oppure come stati di reset, tutti gli stati sono ragginugibili. apitolo Soluzione.. La soluzione esiste ed è raggiunta in 4 passi. 2 2 V c V a V b V d 2 V e Soluzione.2. Matrice. Vettore Φ dei possibili valori di φ (il valore 2 deve essere escluso): Φ = [ ] Periodo dal quale iniziare il retiming: φ = 6. La soluzione si raggiunge in 2 passi.

16 5 V m V a V b V c V d V n V m V a V b V c V d V n V a 2 V c 2 2 V m V n V b V d 2 2 Nuovo vettore Φ: Φ = [ ] Periodo dal quale iniziare il retiming: φ = 4. A partire dalla specifica iniziale La soluzione si raggiunge in 3 passi. Nuovo vettore Φ: 3 3 V a 2 V c 2 2 V m V n V b V d 2 2 Φ = [3 4] Periodo dal quale iniziare il retiming: φ = 3. Non si ha soluzione. Il periodo ottimo è φ = 4. Soluzione.3. L applicazione del procedimento euristico porta a due soluzioni di costo differente a causa di una scelta arbitraria al secondo passo. Il costo iniziale di 24 viene ridotto a 5 (V b ; V a ; V c ) o a 7(V b ; V c ; V a ).

A.A. 2003/2004 Esercizi di Reti Logiche A

A.A. 2003/2004 Esercizi di Reti Logiche A A.A. 2003/2004 Esercizi di Reti Logiche A A cura di F. Ferrandi, C. Silvano Ultimo aggiornamento, 11 novembre 2003 Questi appunti sono stati possibili anche per il lavoro fatto da alcuni studenti del corso

Dettagli

Richiami di Algebra di Commutazione

Richiami di Algebra di Commutazione LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 6-7 Richiami di Algebra di Commutazione In questa

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere Un modello che permetta di rappresentare insiemi di numeri binari; Le funzioni che li mettano

Dettagli

Algebra di Commutazione

Algebra di Commutazione Algebra di Commutazione Maurizio Palesi Maurizio Palesi 1 Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere Un modello che permette di rappresentare insiemi di numeri

Dettagli

A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A

A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A A cura di F. Ferrandi, C. Silvano, A. Antola Ultimo aggiornamento, 16 aprile 2004 Questi appunti sono stati possibili anche per

Dettagli

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali Tutorato di Calcolatori

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Esercizi. 1. Algebra booleana

Esercizi. 1. Algebra booleana Esercizi 1. Algebra booleana Esercizio 1.1 Data le forma logica F = (z(xy ) +x+yz ) +x y Svolgere i seguenti punti: Applicare le regole dell algebra per semplificare la forma riducendo il numero dei prodotti

Dettagli

Algebra di Boole Cenni all Algebra di Boole. Algebra Booleana: definizione

Algebra di Boole Cenni all Algebra di Boole. Algebra Booleana: definizione Algebra Booleana: operazioni e sistema algebrico Algebra di Boole Cenni all Algebra di Boole Introduzione Rappresentazione di una funzione combinatoria Proprietà dell algebra di commutazione Forme canoniche

Dettagli

Algebra di Boole Cenni all Algebra di Boole

Algebra di Boole Cenni all Algebra di Boole Algebra di Boole Cenni all Algebra di Boole Introduzione Rappresentazione di una funzione combinatoria Proprietà dell algebra di commutazione Forme canoniche Teorema di espansione di Shannon Versione del

Dettagli

Algebra di Boole. Cenni all Algebra di Boole

Algebra di Boole. Cenni all Algebra di Boole Algebra di Boole Cenni all Algebra di Boole Introduzione Rappresentazione di una funzione combinatoria Proprietà dell algebra di commutazione Forme canoniche Teorema di espansione di Shannon Algebra Booleana:

Dettagli

Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR

Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR Corso di Calcolatori Elettronici I A.A. 2010-2011 Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR Lezione 7 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Funzioni Equivalenza

Dettagli

Algebra di Boole Cenni all Algebra di Boole

Algebra di Boole Cenni all Algebra di Boole Algebra di Boole Cenni all Algebra di Boole Introduzione Rappresentazione di una funzione combinatoria Proprietà dell algebra di commutazione Forme canoniche Teorema di espansione di Shannon Versione del

Dettagli

1 Minimizzazione di espressioni logiche con le proprietà dell algebra

1 Minimizzazione di espressioni logiche con le proprietà dell algebra 1 Minimizzazione di espressioni logiche con le proprietà dell algebra di Boole 1.1 Esercizi con soluzione Esercizio 1.1 - Data la seguente funzione F: F = a bcd + abcd + ab cd + a bc d 1. Utilizzando le

Dettagli

Algebra di Commutazione

Algebra di Commutazione Algebra di Commutazione Maurizio Palesi Maurizio Palesi 1 Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere: Un modello che permette di rappresentare insiemi di numeri

Dettagli

Algebra di Boole. Introdotta nel 1874 da George Boole per fornire una rappresentazione algebrica della logica

Algebra di Boole. Introdotta nel 1874 da George Boole per fornire una rappresentazione algebrica della logica Algebra di Boole Algebra di Boole Per poter affrontare in modo sistematico lo studio dei sistemi di calcolo, abbiamo inizialmente bisogno di un apparato teorico-formale mediante il quale lavorare sulle

Dettagli

Esercitazioni di Reti Logiche. Algebra Booleana e Porte Logiche

Esercitazioni di Reti Logiche. Algebra Booleana e Porte Logiche Esercitazioni di Reti Logiche Algebra Booleana e Porte Logiche Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico 2007/2008 Notizie Il primo parziale

Dettagli

Esercitazione del 15/03/ Soluzioni

Esercitazione del 15/03/ Soluzioni Esercitazione del 15/03/2007 - Soluzioni Rappresentazioni possibili per una funzione logica: circuito logico: A B Y forma tabellare (tabella lookup): formula algebrica: A B Y 0 0 0 0 1 1 1 0 1 1 1 0 Y=

Dettagli

Algebra di Boole: mappe di Karnaugh

Algebra di Boole: mappe di Karnaugh Corso di Calcolatori Elettronici I A.A. 2012-2013 Algebra di Boole: mappe di Karnaugh Pro. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie

Dettagli

Algebra di Boole: mappe di Karnaugh

Algebra di Boole: mappe di Karnaugh Corso di Calcolatori Elettronici I Algebra di Boole: mappe di Karnaugh Prof. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Informazione

Dettagli

Algebra di commutazione. Reti combinatorie

Algebra di commutazione. Reti combinatorie lgebra di commutazione Reti combinatorie Corso CSO prof. C. Silvano lgebra di oole L algebra di oole (dal suo inventore, il matematico inglese George oole, 1815-1864) 86 serve e a descrivere e e le operazioni

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Calcolatori Elettronici 1 Algebra booleana Operazione: una operazione op sull'insieme S={s1,s2,...} è una funzione op : SxS S che da SxS (S cartesiano S) porta in S. Calcolatori

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Algebra booleana: introduzione Per descrivere i dispositivi digitali è necessario avere: Un modello che permette di rappresentare insiemi di numeri binari Le funzioni che li mettono

Dettagli

Algebra di Boole X Y Z V. Algebra di Boole

Algebra di Boole X Y Z V. Algebra di Boole L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole che

Dettagli

Esercizi di sintesi - Soluzioni

Esercizi di sintesi - Soluzioni Esercizi di sintesi - Soluzioni Rappresentazioni possibili per una funzione logica: circuito logico: A B Y forma tabellare (tabella lookup): formula algebrica: A B Y 0 0 0 0 1 1 1 0 1 1 1 0 Y= (NOT A)B

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Calcolatori Elettronici 1 Algebra booleana: introduzione Per descrivere i dispositivi digitali è necessario avere: Un modello che permette di rappresentare insiemi di numeri binari

Dettagli

Esercitazione del 10/03/ Soluzioni

Esercitazione del 10/03/ Soluzioni Esercitazione del 10/03/2005 - Soluzioni Rappresentazioni possibili per una funzione logica: ( L04 -I circuiti binari: definizione delle funzioni logiche, p.26-29) circuito logico: A B Y forma tabellare

Dettagli

Sintesi di reti combinatorie. Motivazioni. Sommario. Funzioni Espressioni

Sintesi di reti combinatorie. Motivazioni. Sommario. Funzioni Espressioni 1 Teorema di espansione di Shannon (Boole) Sintesi di reti combinatorie Funzioni Espressioni 2 Forme canoniche 3 Metriche per il costo di una rete 4 Forme normali Motivazioni Si deve trovare una metodologia

Dettagli

Ottimizzazione delle reti combinatorie

Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie L ottimizzazione di un circuito comporta normalmente un compromesso tra: Prestazioni (ritardo di propagazione) Area (o costo)

Dettagli

Laboratorio del 10/11/ Soluzioni

Laboratorio del 10/11/ Soluzioni Laboratorio del 10/11/2010 - Soluzioni Rappresentazioni possibili per una funzione logica: circuito logico: A B Y forma tabellare (tabella lookup): formula algebrica: A B Y 0 0 0 0 1 1 1 0 1 1 1 0 Y= (NOT

Dettagli

Esercizio 1. Sintesi ottima SP e NAND

Esercizio 1. Sintesi ottima SP e NAND Esercizio Sintesi ottima SP e NAND x x 0 x 00 3 x 2 00 0 0 0 0 0 0 0 x 4 = 0 X x 0 x 00 3 x 2 00 0 0 0 x 4 = U = x 4 x 2 + x 4 x 3 x + x 2 x x 0 + x 3 x x 0 + x 4 x 3 x 0 + x 3 x 2 x x 0 U nand = (x 4

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Parte seconda Algebra di Commutazione: rappresentazione di una funzione Una funzione di commutazione a n variabili è una funzione del tipo: f: {0,1} n {0,1} Una funzione di commutazione

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo:

Dettagli

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1.

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1. PORTE LOGICHE Premessa Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei

Dettagli

Esercitazioni di Reti Logiche. Lezione 2 Algebra Booleana e Porte Logiche. Zeynep KIZILTAN zkiziltan@deis.unibo.it

Esercitazioni di Reti Logiche. Lezione 2 Algebra Booleana e Porte Logiche. Zeynep KIZILTAN zkiziltan@deis.unibo.it Esercitazioni di Reti Logiche Lezione 2 Algebra Booleana e Porte Logiche Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Algebra booleana Funzioni booleane e loro semplificazioni Forme canoniche Porte

Dettagli

L algebra di Boole. Cenni Corso di Reti Logiche B. Mariagiovanna Sami

L algebra di Boole. Cenni Corso di Reti Logiche B. Mariagiovanna Sami L algebra di Boole Cenni Corso di Reti Logiche B Mariagiovanna Sami Algebra Booleana: sistema algebrico Operazione: Operazione α sull'insieme S={s1,s2,...} = funzione che da SxS (prodotto cartesiano S

Dettagli

Esame di Progettazione di sistemi digitali. Prima Parte - Compito A

Esame di Progettazione di sistemi digitali. Prima Parte - Compito A Prima Parte - Compito A Esercizio (6 punti) Dati i valori nella rappresentazione in complemento a 2: A = 00000 e B=00 calcolare i valori decimali corrispondenti. Eseguire la somma e la differenza e verificare

Dettagli

Circuiti digitali combinatori

Circuiti digitali combinatori Circuiti digitali combinatori Parte 1 Definizioni George Boole George Boole (Lincoln, 2 novembre 1815 Ballintemple, 8 dicembre 1864) Matematico e logico britannico Considerato il fondatore della logica

Dettagli

Reti Logiche T. Esercizi reti sequenziali asincrone

Reti Logiche T. Esercizi reti sequenziali asincrone Reti Logiche T Esercizi reti sequenziali asincrone ESERCIZIO N. 1 Una rete sequenziale asincrona è caratterizzata da due segnali d ingresso A e C e da un segnale di uscita Z. I segnali d ingresso non possono

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti rchitettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff.. orghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Funzioni, espressioni e schemi logici

Funzioni, espressioni e schemi logici Funzioni, espressioni e schemi logici Il modello strutturale delle reti logiche Configurazioni di n bit che codificano i simboli di un insieme I i i n F: I S U u u m Configurazioni di m bit che codificano

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Tecniche di semplificazione. Circuiti digitali notevoli

Tecniche di semplificazione. Circuiti digitali notevoli Architettura degli Elaboratori e delle Reti Lezione 5 Tecniche di semplificazione Circuiti digitali notevoli F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano A.A.

Dettagli

Algebra di Boole Algebra di Boole

Algebra di Boole Algebra di Boole 1 L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole

Dettagli

* Y+2 se X e' minore o uguale a Y * X-Y-1 se X e' maggiore di Y. Esercizio 4 (6 punti) Quale delle seguenti equivalenze è corretta?

* Y+2 se X e' minore o uguale a Y * X-Y-1 se X e' maggiore di Y. Esercizio 4 (6 punti) Quale delle seguenti equivalenze è corretta? Nome: Cognome: matricola o n. documento: COMPITO A Esercizio 1 (6 punti) Sia data la rappresentazione in virgola mobile così definita: 1 bit di segno; 8 bit per l esponente in complemento a due; 23 bit

Dettagli

Costruzione di. circuiti combinatori

Costruzione di. circuiti combinatori Costruzione di circuiti combinatori Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1 A B (A + B) 0 0 0 0 1 1 1 0 1 1 1 1 AND (prodotto): l uscita è 1

Dettagli

Ottimizzazione delle reti combinatorie

Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie L ottimizzazione di un circuito comporta normalmente un compromesso tra: Prestazioni (ritardo di propagazione) Area (o costo)

Dettagli

Corsi di Laurea in Scienze Biologiche Prova scritta di Informatica e Statistica Generale (A). 19/05/2009

Corsi di Laurea in Scienze Biologiche Prova scritta di Informatica e Statistica Generale (A). 19/05/2009 Corsi di Laurea in Scienze Biologiche Prova scritta di Informatica e Statistica Generale (A). 19/05/2009 COGNOME NOME MATRICOLA 1.) Sia {x 1, x 2,..., x n } IR una popolazione statistica relativa ad una

Dettagli

Metodo di Quine- McCluskey

Metodo di Quine- McCluskey Metodo di Quine- McCluskey Maurizio Palesi Maurizio Palesi 1 Definizioni Date due funzioni f(x 1,x 2,,x n ) e g(x 1,x 2,,x n ) si dice che f copre g (oppure g implica f) e si scrive f g se f(x 1,x 2,,x

Dettagli

Corso di Calcolatori Elettronici I

Corso di Calcolatori Elettronici I Corso di Calcolatori Elettronici I Algebra di Boole: minimizzazione di funzioni booleane Roberto Canonico Università degli Studi di Napoli Federico II A.A. 2014-2015 Roberto Canonico Corso di Calcolatori

Dettagli

Il Livello Logico-Digitale

Il Livello Logico-Digitale Il Livello Logico-Digitale Reti Combinatorie Sommario Il segnale binario. lgebra di oole e funzioni logiche. Porte logiche. nalisi di circuiti combinatori. Sintesi di circuiti combinatori. Sintesi con

Dettagli

Sintesi Sequenziale Sincrona

Sintesi Sequenziale Sincrona Sintesi Sequenziale Sincrona Sintesi comportamentale di reti sequenziali sincrone senza processo di ottimizzazione Sintesi comportamentale e architettura generale Diagramma degli stati Tabella degli stati

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

Reti logiche: analisi, sintesi e minimizzazione Esercitazione. Venerdì 9 ottobre 2015

Reti logiche: analisi, sintesi e minimizzazione Esercitazione. Venerdì 9 ottobre 2015 Reti logiche: analisi, sintesi e minimizzazione Esercitazione Venerdì 9 ottobre 05 Punto della situazione Stiamo studiando le reti logiche costruite a partire dalle porte logiche AND, OR, NOT per progettare

Dettagli

17/10/16. Espressioni Booleane

17/10/16. Espressioni Booleane Espressioni Booleane Un espressione booleana è una sequenza composta da operatori booleani, parentesi, costanti e variabili booleane, induttivamente definita come segue: Espressioni ed operatori booleani

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Esercizio 2: controllare l identità delle seguenti due funzioni di 4 variabili :

Esercizio 2: controllare l identità delle seguenti due funzioni di 4 variabili : Compito A Esercizio 1 Data la seguente tabella di verità ricavare la forma canonica congiuntiva e disgiuntiva. Ricavare poi la EB minima usando le mappe di Karnaugh. a b c y 0 0 0 1 0 0 1 0 0 1 0 1 0 1

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Algebra di Boole. Modulo 2. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Algebra di Boole. Modulo 2. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Algebra di Boole Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Algebra di Boole L algebra di Boole o della commutazione è lo strumento

Dettagli

Tutorato architettura degli elaboratori modulo I (lezione 3)

Tutorato architettura degli elaboratori modulo I (lezione 3) Tutorato architettura degli elaboratori modulo I (lezione 3) Moretto Tommaso 03 November 2017 1 Algebra di Boole L aritmetica binaria è stata adottata perché i bit sono rappresentabili naturalmente tramite

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione E un caso particolare di algebra booleana. B = Dominio Op1 = AND Vale 1 solo se entrambi gli operandi sono 1 Op2 = OR Vale 0 se entrambi I termini sono zero, altrimenti 1 Op3 =

Dettagli

Algebra di Boole Esercizi risolti

Algebra di Boole Esercizi risolti Esercizi risolti 1 Esercizio Verificare mediante i teoremi fondamentali dell algebra di Boole o mediante induzione completa se per l operatore XOR vale la proprietà distributiva: a (b + c) = (a b)+(a c)

Dettagli

Metodo di Quine- McCluskey

Metodo di Quine- McCluskey Metodo di Quine- McCluskey Maurizio Palesi Maurizio Palesi Definizioni Date due funzioni f(x,x 2,,x n ) e g(x,x 2,,x n ) si dice che f copre g (oppure g implica f) e si scrive f g se f(x,x 2,,x n )= quando

Dettagli

Esercizi sulla rappresentazione dell informazione

Esercizi sulla rappresentazione dell informazione Esercizi sulla rappresentazione dell informazione Esercizio 1A Trovare la rappresentazione binaria di 56,83 in virgola fissa quattro bit di precisione. Soluzione 1A: La rappresentazione binaria di 56,83

Dettagli

Esercitazioni di Reti Logiche. Lezione 3

Esercitazioni di Reti Logiche. Lezione 3 Esercitazioni di Reti Logiche Lezione 3 Semplificazione & Porte NAND/NOR Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Semplificazione con l uso delle mappe di Karnaugh a 3 variabili a 4 variabili

Dettagli

Esercizio , (+61,81) CA2: , = , (-61,81)

Esercizio , (+61,81) CA2: , = , (-61,81) Compito A Es. : Esprimi in complemento a due il numero decimale - 6,8 arrestandosi al 6 bit dopo la virgola. Esprimi lo stesso numero normalizzato in virgola mobile. Quanti bit sono necessari complessivamente

Dettagli

x y z F x y z F

x y z F x y z F Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Algebra di Boole. Tavole di verità. Fondamenti di Informatica Algebra di Boole. Si basa su tre operazioni logiche: AND (*) OR (+) NOT (!

Algebra di Boole. Tavole di verità. Fondamenti di Informatica Algebra di Boole. Si basa su tre operazioni logiche: AND (*) OR (+) NOT (! Fondamenti di Informatica Algebra di Boole Prof.ssa Enrica Gentile Informatica e Comunicazione Digitale a.a. 2-22 Algebra di Boole Si basa su tre operazioni logiche: AND (*) OR () NOT (!) Gli operandi

Dettagli

Reti logiche: analisi, sintesi e minimizzazione. Giovedì 9 ottobre 2014

Reti logiche: analisi, sintesi e minimizzazione. Giovedì 9 ottobre 2014 Reti logiche: analisi, sintesi e minimizzazione Giovedì 9 ottobre 2014 Punto della situazione Stiamo studiando le reti logiche costruite a partire dalle porte logiche AND, OR, NOT per progettare l ALU

Dettagli

Algebra di Boole. Da Boole a Shannon

Algebra di Boole. Da Boole a Shannon Corso di Calcolatori Elettronici I A.A. 2012-2013 Algebra di Boole Prof. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Inforazione

Dettagli

Forme canoniche, circuiti notevoli, criteri di ottimizzazione

Forme canoniche, circuiti notevoli, criteri di ottimizzazione Architettura degli Elaboratori e delle Reti Lezione 5 Forme canoniche, circuiti notevoli, criteri di ottimizzazione Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università

Dettagli

Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche

Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche L algebra di oole Rev.1.1 of 2012-04-26 Componenti logiche di un elaboratore Possiamo

Dettagli

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh. Mariagiovanna Sami a.a.

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh. Mariagiovanna Sami a.a. Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh Mariagiovanna Sami a.a. 2007-2008 2008 livelli: Introduzione Le reti combinatorie mostrano in ogni istante

Dettagli

Logica booleana. Bogdan Maris ( )

Logica booleana. Bogdan Maris ( ) Logica booleana 1 Algebra di Boole Opera con i soli valori di verità 0 o 1 (variabili booleane o logiche) La struttura algebrica studiata dall'algebra booleana è finalizzata all'elaborazione di espressioni

Dettagli

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

Proposizioni logiche e algebra di Boole

Proposizioni logiche e algebra di Boole Proposizioni logiche e algebra di Boole Docente: Ing. Edoardo Fusella Dipartimento di Ingegneria Elettrica e Tecnologie dell Informazione Via Claudio 21, 4 piano laboratorio SECLAB Università degli Studi

Dettagli

Corso di Calcolatori Elettronici I A.A Algebra di Boole Lezione 4

Corso di Calcolatori Elettronici I A.A Algebra di Boole Lezione 4 Corso di Calcolatori Elettronici I A.A. 2010-2011 Algebra di Boole Lezione 4 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Segnali in circuiti elettronici digitali da: G. Bucci. Calcolatori

Dettagli

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Quine. Metodo di Quine-McCluskey per più funzioni

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Quine. Metodo di Quine-McCluskey per più funzioni Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Quine ne-mccluskey Metodo di Quine-McCluskey per più funzioni Nel caso di funzioni a più uscite una prima soluzione

Dettagli

Introduzione ed elementi dell'algebra di Boole

Introduzione ed elementi dell'algebra di Boole Introduzione ed elementi dell'algebra di Boole CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) Università degli Studi di Napoli Federico II Il Calcolatore Elettronico è un sistema:»

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Prof. Arcangelo Castiglione A.A. 2017/18 Outline Algebra di Boole Relazione con i Circuiti Logici Elementi Costitutivi Operatori Logici Elementari Funzioni Logiche (o Booleane)

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS)

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS) Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS) Problema: Data una tabella di flusso (TdF) contraddistinta da un numero arbitrario N di stati s 1, s 2,, s N, individuare

Dettagli

Circuiti combinatori Sintesi mediante mappe di Karnaugh Mappe di Karnaugh con 5 variabili

Circuiti combinatori Sintesi mediante mappe di Karnaugh Mappe di Karnaugh con 5 variabili Fondamenti di Informatica B Esercitazione n.2n Fondamenti di Informatica B Circuiti combinatori Esercitazione n.2n Sintesi mediante mappe di Karnaugh Mappe di Karnaugh con 5 variabili CIRCUITI COMBINATORI:

Dettagli

Sommario. 1 Calcolo degli implicanti primi. 2 Copertura. 3 Funzioni a piú uscite. Algoritmo. 1 Fase di espansione 2. 2 Fase di copertura

Sommario. 1 Calcolo degli implicanti primi. 2 Copertura. 3 Funzioni a piú uscite. Algoritmo. 1 Fase di espansione 2. 2 Fase di copertura Sommario Metodo di Quine-McCluskey M. Favalli Engineering Department in Ferrara 2 3 Sommario Algoritmo Metodo esatto per la sintesi di reti a 2 livelli Fattibile fino a circa 20 ingressi In grado di considerare

Dettagli

Il livello logico digitale

Il livello logico digitale Il livello logico digitale prima parte Introduzione Circuiti combinatori (o reti combinatorie) Il valore dell uscita in un determinato istante dipende unicamente dal valore degli ingressi in quello stesso

Dettagli

Metodo di Quine-McCluskey. Algoritmo. Sommario. Sommario. M. Favalli

Metodo di Quine-McCluskey. Algoritmo. Sommario. Sommario. M. Favalli Sommario Metodo di Quine-McCluskey M. Favalli Engineering Department in Ferrara 2 3 Sommario Analisi e sintesi dei sistemi digitali / Algoritmo Analisi e sintesi dei sistemi digitali 2 / 2 3 Metodo esatto

Dettagli

Fondamenti dell Informatica Algebra di Boole. Prof.ssa Enrica Gentile

Fondamenti dell Informatica Algebra di Boole. Prof.ssa Enrica Gentile Fondamenti dell Informatica Algebra di Boole Prof.ssa Enrica Gentile Algebra di Boole Si basa su tre operazioni logiche: AND (*) OR (+) NOT (!) Gli operandi possono avere solo due valori: Vero () Falso

Dettagli

Sintesi Sequenziale Sincrona

Sintesi Sequenziale Sincrona Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

Procedimento di sintesi. Dalla tavola della verità si ricavano tante funzioni di commutazione quante sono le variabili di uscita

Procedimento di sintesi. Dalla tavola della verità si ricavano tante funzioni di commutazione quante sono le variabili di uscita CIRCUITI LOGICI COMBINATORI. Generalità Si parla di circuito logico combinatorio quando il valore dell uscita dipende in ogni istante soltanto dalla combinazione dei valori d ingresso. In logica combinatoria

Dettagli

ALGEBRA DI BOOLE. In caso di errori di battitura o se si volesse contribuire a migliorare la seguente guida contattare:

ALGEBRA DI BOOLE. In caso di errori di battitura o se si volesse contribuire a migliorare la seguente guida contattare: ALGEBRA DI BOOLE Indice Introduzione... 2 PRORIETA E TEOREMI DELL ALGEBRA DI BOOLE... 3 FUNZIONI LOGICHE PRIMARIE... 4 Funzione logica AND... 4 Funzione logica OR... 4 Funzione logica NOT... 5 FUNZIONI

Dettagli

Università degli Studi di Cassino e del Lazio Meridionale

Università degli Studi di Cassino e del Lazio Meridionale di Cassino e del Lazio Meridionale Corso di Reti Logiche Algebra di Boole Anno Accademico Francesco Tortorella Che fine fanno i nostri programmi? Costrutti e strutture dati HLL C/C++ Istruzioni per la

Dettagli

Attraverso la minimizzazione, si è così tornati all espressione di partenza.

Attraverso la minimizzazione, si è così tornati all espressione di partenza. 1) Si scriva la tavola di verità della funzione. Per compilare una tavola di verità corretta, è sufficiente ricordare le regole di base dell'algebra di Boole (0 AND 0 = 0; 0 AND 1 = 0; 1 AND 1 = 1; 0 OR

Dettagli

Algebra di Boole e circuiti dalle funzioni logiche ai circuiti digitali

Algebra di Boole e circuiti dalle funzioni logiche ai circuiti digitali rchitetture dei calcolatori e delle reti lgebra di oole e circuiti dalle funzioni logiche ai circuiti digitali. orghese, F. Pedersini Dip. Informatica Università degli Studi di Milano L 3 1 lgebra di oole

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 4

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 4 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 4 Prof. Rosario Cerbone rosario.cerbone@libero.it a.a. 2005-2006 Riepilogo In questa lezione vengono riassunti i concetti fondamentali sulle funzioni

Dettagli

Che fine fanno i nostri programmi? Costrutti e strutture dati HLL. Istruzioni per la CPU e dati in memoria. Come vengono eseguite le istruzioni?

Che fine fanno i nostri programmi? Costrutti e strutture dati HLL. Istruzioni per la CPU e dati in memoria. Come vengono eseguite le istruzioni? Che fine fanno i nostri programmi? Costrutti e strutture dati HLL C/C++ Istruzioni per la CPU e dati in memoria Assembly Istruzioni in linguaggio macchina Linguaggio macchina? Come vengono eseguite le

Dettagli

Cognome:, Nome: Matricola:

Cognome:, Nome: Matricola: I Prova Intercorso Fondamenti di Informatica e Programmazione, A.A. 2017/18 Docente: R. Pizzolante Traccia D Cognome:, Nome: Matricola: Spazio riservato alla commissione esaminatrice 1 2 3 4 5 6 7 8 9

Dettagli