3.5 Esercizi con strumenti automatici

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "3.5 Esercizi con strumenti automatici"

Transcript

1 Algebra di commutazione Esercizi con strumenti automatici Per esemplificare i concetti descritti in questo capitolo si consideri l esempio di un circuito che esegue la somma di numeri interi in positivi. L algoritmo di somma è stato descritto nel Capitolo 2. Facendo riferimento al VHDL (si veda l'appendice A), come linguaggio di descrizione dello hardware, l entity di un sommatore può essere descritta nel seguente modo: entity SOMMATORE is port ( A,B,CIN; in BIT; O,COU: out BIT); end SOMMATORE; La funzionalità del sommatore può essere descritta in stile data-flow dalla seguente architecture in cui sono direttamente riportate le equazioni del sommatore viste nel Capitolo 2: architecture DATAFLOW of SOMMATORE signal V: BIT; begin V <= A xor B; O <= V xor CIN; COU <= (A and B) or (V and CIN); end DATAFLOW; Volendo utilizzare un linguaggio alternativo per la descrizione dello hardware, è possibile modellare lo stesso comportamento utilizzando SystemC (si veda l'appendice A). Una possibile descrizione SystemC del sommatore è la seguente: // file sommatore.h #include "systemc.h" //Dichiarazione del modulo SC_MODULE(sommatore) { sc_in<bool> a, b, cin; //Dichiarazione degli ingressi sc_out<bool> o, cout; //Dichiarazione delle uscite void somma(); //metodo che realizza la somma // Dichiarazione del costruttore SC_CTOR(sommatore) { // Dichiarazione di un processo di tipo // SC_METHOD sensibile agli ingressi SC_METHOD(somma); sensitive(a); sensitive(b); sensitive(cin); }; };

2 76 Capitolo 3 // file sommatore.cpp #include "sommatore.h" // Implementazione del metodo somma dichiarato nel modulo void sommatore::somma() { // Dichiarazione variabili locali bool a1, b1, cin1, v, o1, cout1; a1 =a.read(); //lettura dalle porte di input b1 =b.read(); cin1 = cin.read(); v = a1 ^ b1; // a1 xor b1 o1 = v ^ cin1; // v xor cin1 cout1 = (a1 & b1) (v & cin1); // a1 b1 + v cin1 o.write(o1); //scrittura delle porte di output cout.write(cout1); } Il modello è realizzato con un processo di tipo SC_METHOD sensibile ai segnali di ingresso. Le operazioni logiche (^, & e ), predefinite per il tipo bool, permettono di realizzare la funzionalità richiesta. La simulazione dei modello VHDL o SystemC ci permette di verificare la funzionalità del sommatore, ma non ci permette di modellarlo esplicitando le forme canoniche descritte nei paragrafi precedenti. Per fare questo è possibile utilizzare SIS. Per costruire il modello del sommatore in SIS è necessario innanzi tutto scrivere un file in formato.blif che ne specifichi l interfaccia:.model SOMMATORE.inputs A B CIN.outputs O COUT Si noti che tutte le parole chiave del formato.blif iniziano con un punto, non c è distinzione tra lettere maiuscole e minuscole, il modello si conclude con la parola chiave e nessuna network è associata a questo modello. Ossia, questo modello non fornisce una corrispondenza tra ingressi e uscite che deve essere fornita mediante la tabella delle verità del sommatore. Infine, tutti i segnali in formato.blif sono di tipo BIT. Se si crea il file di testo sommatore.blif con la descrizione del modello, è possibile utilizzare SIS per eseguire i comandi di base (in grassetto) 2 : UC Berkeley, SIS 1.3 (compiled 31-Dec-98 at 11:17 AM) sis> read_blif sommatore.blif Il modello del sommatore viene caricato in memoria. 2 È possibile avere la descrizione di ogni comando eseguendo help nome_comando.

3 Algebra di commutazione 77 sis> print_stats SOMMATORE pi= 3 po= 2 nodes= 2 latches= 0 lits(sop)= 0 Il modello in memoria si chiama SOMMATORE, ha 3 bit di ingresso (pi), 2 bit di uscita (po), 0 elementi di memoria (latches) e la rete associata è composta da 2 nodi (nodes) a cui non sono associate equazioni poiché il numero di letterali (lits), calcolato in somma di prodotti (sop), è zero. sis> write_blif.model SOMMATORE.inputs A B CIN.outputs O COUT.names O.names COUT La lettura del modello ha quindi fatto sì che SIS creasse una network in cui le uscite sono poste a zero, come si vede stampando sullo schermo il modello corrente. sis> quit In questo modo si abbandona il programma. Le uscite sono poste a 0 perché vengono descritte dal comando.names mediante il loro On-set, ossia mediante l elenco dei mintermini. Nel caso precedente questo elenco è nullo quindi, l On-set è nullo, quindi la funzione vale sempre 0. Associamo invece adesso una rete al modello del sommatore. È sufficiente descrivere i valori che i segnali O e COUT devono assumere in funzione degli ingressi A, B e CIN. Riprendiamo il modello descritto precedentemente in VHDL. Il file sommatore.blif che descrive queste equazioni diventa il seguente:.model SOMMATORE.inputs A B CIN.outputs O COUT # calcolo valore V.names A B V # calcolo valore O.names V CIN O # calcolo carry out.names A B V CIN COUT

4 78 Capitolo 3 Il caricamento in SIS di questo nuovo file e la stampa delle statistiche corrispondenti, producono ora: sis> print_stats SOMMATORE pi= 3 po= 2 nodes= 3 latches= 0 lits(sop)= 12 Lo stesso modello del sommatore può essere costruito più agevolmente utilizzando l interfaccia grafica di SIS, ossia il programma BVE (si veda l Appendice B). In Figura 3.15 viene mostrato lo schema del sommatore disegnato utilizzando BVE e il menù per la definizione della sua tabella delle verità. Figura 3.15 Descrizione grafica di un sommatore utilizzando BVE. Per verificare la correttezza del modello descritto è anche possibile simularlo assegnando in successione dei valori agli ingressi con il comando simulate. SIS

5 Algebra di commutazione 79 calcolerà i valori delle uscite in funzione degli ingressi utilizzando la descrizione della rete. La simulazione del sommatore è riportata in Figura Oltre a uno stile data-flow la rete in formato.blif può descrivere l interconnessione di componenti. Per esempio il sommatore a 2 bit può essere descritto collegando opportunamente due sommatori a 1 bit. Il file sommatore2.blif è il seguente:.model SOMMATORE2.inputs A1 A0 B1 B0 CIN.outputs O1 O0 COUT.subckt SOMMATORE A=A0 B=B0 CIN=CIN O=O0 COUT=C0.subckt SOMMATORE A=A1 B=B1 CIN=C0 O=O1 COUT=COUT.search sommatore.blif sis> simulate Outputs: 0 0 sis> simulate Outputs: 1 0 sis> simulate Outputs: 1 0 sis> simulate Outputs: 0 1 sis> simulate Outputs: 1 0 sis> simulate Outputs: 0 1 sis> simulate Outputs: 0 1 sis> simulate Outputs: 1 1 Figura 3.16 Simulazione del sommatore mediante SIS. Questo collegamento avviene mediante la parola chiave:.subckt nome_modello nome_formale=nome_attuale nome_formale=nome_attuale Il nome formale corrisponde al nome di un ingresso (o una uscita) del modello utilizzato, mentre il nome attuale è il nome di un segnale del modello del datapath. Attraverso l assegnamento di nomi attuali a nomi formali si ottiene l interconnessione di più modelli. Notare che durante il caricamento di un modello col comando read_blif, SIS richiede di avere a disposizione tutti i modelli utilizzati. Nel caso sommatore2 è quindi necessario includere nel file sommatore2.blif la

6 80 Capitolo 3 direttive.search che permette a SIS di trovare il modello del sommatore nel file di libreria sommatore.blif Esercizi 1) Si realizzi, utilizzando transistor di tipo P e N, la porta logica OR a partire dalla porta logica NOR. 2) Si trasformi la funzione f = xy + yv, applicando il teorema di Shannon, in una funzione equivalente in cui tutte e tre le variabili compaiono, eventualmente in forma negata, in ogni termine. 3) Si dimostri che l operatore NOR è un operatore universale. 4) Si trovi l insieme minimo funzionalmente completo che include l operatore XOR. 5) Si descriva in termini di porte logiche NAND, a uno e due ingressi, la funzionalità della porta logica XNOR. 6) Si scriva la tabella delle verità della funzione Booleana o = f(a, b, c), tale che o vale 1 solo se la somma (senza riporto) dei bit sulle linee a e b è uguale al valore della linea c. 7) Si ricavi l On-set e l Off-set dell Esercizio 6. 8) Si consideri un circuito combinatorio che ha due ingressi a 2 bit: A(a 1 a 0 ) e B(b 1 b 0 ), un ingresso op a 1 bit e un'uscita out a 1 bit. Se op = 0, out vale 1 se A > B. Se op = 1, out vale 1 se A = B. Definire l On-set della funzione out(op, a 1, a 0, b 1, b 0 ). 9) Si scriva l espressione secondo la prima forma canonica della funzione f (a, b, c) che ha On-set = {m 2, m 4, m 5, m 7 }. 10) Si scriva l espressione secondo la seconda forma canonica dell Esercizio 9. 11) Si disegni il circuito a due livelli corrispondente all espressione in somma di prodotti della funzione dell Esercizio 9. 3 I file di libreria sono ricercati da SIS nelle directory elencate nella variabile OPEN_PATH il cui valore può essere modificato col il comando set interno a SIS.

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere Un modello che permetta di rappresentare insiemi di numeri binari; Le funzioni che li mettano

Dettagli

Algebra di Commutazione

Algebra di Commutazione Algebra di Commutazione Maurizio Palesi Maurizio Palesi 1 Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere Un modello che permette di rappresentare insiemi di numeri

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 3. Descrizioni di circuiti combinatori tramite SIS

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 3. Descrizioni di circuiti combinatori tramite SIS LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 3 Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 2006-2007 In questa lezione vengono introdotti i

Dettagli

Richiami di Algebra di Commutazione

Richiami di Algebra di Commutazione LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 6-7 Richiami di Algebra di Commutazione In questa

Dettagli

Algebra di Commutazione

Algebra di Commutazione Algebra di Commutazione Maurizio Palesi Maurizio Palesi 1 Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere: Un modello che permette di rappresentare insiemi di numeri

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI LABORATORIO DI ARCHITETTURA DEI CALCOLATORI Prof. Rosario Cerbone rosario.cerbone@uniparthenope.it http://digilander.libero.it/rosario.cerbone a.a. 28-29 Obiettivo I due moduli integrati (Architettura

Dettagli

Reti logiche: analisi, sintesi e minimizzazione Esercitazione. Venerdì 9 ottobre 2015

Reti logiche: analisi, sintesi e minimizzazione Esercitazione. Venerdì 9 ottobre 2015 Reti logiche: analisi, sintesi e minimizzazione Esercitazione Venerdì 9 ottobre 05 Punto della situazione Stiamo studiando le reti logiche costruite a partire dalle porte logiche AND, OR, NOT per progettare

Dettagli

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1.

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1. PORTE LOGICHE Premessa Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Prof. Arcangelo Castiglione A.A. 2017/18 Outline Algebra di Boole Relazione con i Circuiti Logici Elementi Costitutivi Operatori Logici Elementari Funzioni Logiche (o Booleane)

Dettagli

Reti logiche: analisi, sintesi e minimizzazione. Giovedì 9 ottobre 2014

Reti logiche: analisi, sintesi e minimizzazione. Giovedì 9 ottobre 2014 Reti logiche: analisi, sintesi e minimizzazione Giovedì 9 ottobre 2014 Punto della situazione Stiamo studiando le reti logiche costruite a partire dalle porte logiche AND, OR, NOT per progettare l ALU

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 5

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 5 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 5 Prof. Rosario Cerbone rosario.cerbone@libero.it a.a. 2005-2006 Ottimizzazione di circuiti combinatori In questa lezione vengono riassunti i concetti

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Algebra di Boole e reti logiche. 6 ottobre 2017

Algebra di Boole e reti logiche. 6 ottobre 2017 Algebra di Boole e reti logiche 6 ottobre 2017 Punto della situazione Abbiamo visto le varie rappresentazioni dei numeri in binario e in altre basi e la loro aritmetica Adesso vedremo la logica digitale

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

Ottimizzazione di circuiti combinatori

Ottimizzazione di circuiti combinatori LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 3 Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 27-28 Ottimizzazione di circuiti combinatori In

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Algebra Booleana. 13. Rif:

Algebra Booleana. 13. Rif: Algebra Booleana Fondatore: George Boole (1815-1864) Boole rilevo le analogie fra oggetti dell'algebra e oggetti della logica l algebra Booleana è il fondamento dei calcoli con circuiti digitali. Rif:

Dettagli

17/10/16. Espressioni Booleane

17/10/16. Espressioni Booleane Espressioni Booleane Un espressione booleana è una sequenza composta da operatori booleani, parentesi, costanti e variabili booleane, induttivamente definita come segue: Espressioni ed operatori booleani

Dettagli

Minimizzazione di reti/funzioni logiche con le Mappe di Karnaugh. 12 ottobre 2015

Minimizzazione di reti/funzioni logiche con le Mappe di Karnaugh. 12 ottobre 2015 Minimizzazione di reti/funzioni logiche con le Mappe di Karnaugh ottobre 5 Punto della situazione Stiamo studiando le reti logiche costruite a partire dalle porte logiche AND, OR, NOT per progettare l

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Algebra di Boole e Circuiti Logici Prof. Christian Esposito Corso di Laurea in Ingegneria Meccanica e Gestionale (Classe I) A.A. 2016/17 Algebra di Boole e Circuiti Logici L Algebra

Dettagli

Architettura degli Elaboratori e Laboratorio. Matteo Manzali Università degli Studi di Ferrara Anno Accademico

Architettura degli Elaboratori e Laboratorio. Matteo Manzali Università degli Studi di Ferrara Anno Accademico Architettura degli Elaboratori e Laboratorio Matteo Manzali Università degli Studi di Ferrara Anno Accademico 2016-2017 Algebra booleana L algebra booleana è un particolare tipo di algebra in cui le variabili

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Algebra di Boole di Boole e Circuiti e Circuiti Logici Logici Prof. XXX Prof. Arcangelo Castiglione A.A. 2016/17 A.A. 2016/17 L Algebra di Boole 1/3 Un po di storia Il matematico

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

Circuti AND, OR, NOT Porte logiche AND

Circuti AND, OR, NOT Porte logiche AND Circuti AND, OR, NOT Porte logiche AND OR NOT A B C Esempio E = ~((AB) + (~BC)) E NAND e NOR NAND (AND con uscita negata): ~(A B) NOR (OR con uscita negata): ~(A+B) Si può dimostrare che le operazioni

Dettagli

Implementazione di circuiti

Implementazione di circuiti Implementazione di circuiti PLA: Programmable Logic Array matrici regolari AND e OR in successione personalizzabili dall utente ROM: Read Only Memory circuiti ad hoc che implementano una particolare funzione

Dettagli

Algebra e circuiti elettronici

Algebra e circuiti elettronici Algebra e circuiti elettronici I computer operano con segnali elettrici con valori di potenziale discreti Sono considerati significativi soltanto due potenziali (high/ low); i potenziali intermedi, che

Dettagli

CIRCUITI DIGITALI. La grandezza fisica utilizzata nella maggior parte dei circuiti digitali è la differenza di potenziale (tensione).

CIRCUITI DIGITALI. La grandezza fisica utilizzata nella maggior parte dei circuiti digitali è la differenza di potenziale (tensione). CIRCUITI DIGITALI Un circuito elettronico viene classificato come circuito digitale quando è possibile definire il suo comportamento per mezzo di due soli stati fisici di una sua grandezza caratteristica.

Dettagli

Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche

Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche L algebra di oole Rev.1.1 of 2012-04-26 Componenti logiche di un elaboratore Possiamo

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti rchitettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff.. orghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Circuiti digitali combinatori

Circuiti digitali combinatori Circuiti digitali combinatori Parte 1 Definizioni George Boole George Boole (Lincoln, 2 novembre 1815 Ballintemple, 8 dicembre 1864) Matematico e logico britannico Considerato il fondatore della logica

Dettagli

Il livello logico digitale

Il livello logico digitale Il livello logico digitale prima parte Introduzione Circuiti combinatori (o reti combinatorie) Il valore dell uscita in un determinato istante dipende unicamente dal valore degli ingressi in quello stesso

Dettagli

Algebra di Boole. Introdotta nel 1874 da George Boole per fornire una rappresentazione algebrica della logica

Algebra di Boole. Introdotta nel 1874 da George Boole per fornire una rappresentazione algebrica della logica Algebra di Boole Algebra di Boole Per poter affrontare in modo sistematico lo studio dei sistemi di calcolo, abbiamo inizialmente bisogno di un apparato teorico-formale mediante il quale lavorare sulle

Dettagli

I.3 Porte Logiche. Elisabetta Ronchieri. Ottobre 13, Università di Ferrara Dipartimento di Economia e Management. Insegnamento di Informatica

I.3 Porte Logiche. Elisabetta Ronchieri. Ottobre 13, Università di Ferrara Dipartimento di Economia e Management. Insegnamento di Informatica I.3 Università di Ferrara Dipartimento di Economia e Management Insegnamento di Informatica Ottobre 13, 2015 Argomenti 1 2 3 Elaboratore Hardware È il mezzo con il quale l informazione è elaborata. Software

Dettagli

Forme canoniche, circuiti notevoli, criteri di ottimizzazione

Forme canoniche, circuiti notevoli, criteri di ottimizzazione Architettura degli Elaboratori e delle Reti Lezione 5 Forme canoniche, circuiti notevoli, criteri di ottimizzazione Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università

Dettagli

Dispensa di Informatica I.5

Dispensa di Informatica I.5 LE MACCHINE COMBINATORIE La capacità elaborativa del calcolatore risiede nel processore; il processore è in grado di eseguire un set di azioni elaborative elementari più o meno complesse Le istruzioni

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Algebra booleana: introduzione Per descrivere i dispositivi digitali è necessario avere: Un modello che permette di rappresentare insiemi di numeri binari Le funzioni che li mettono

Dettagli

HSA HSA HARDWARE SYSTEM ARCHITECTURE. Livelli. Livello assemblativo. Livello di. Sistema Operativo. Livello di. linguaggio macchina.

HSA HSA HARDWARE SYSTEM ARCHITECTURE. Livelli. Livello assemblativo. Livello di. Sistema Operativo. Livello di. linguaggio macchina. HS HRDWRE SYSTEM RHITETURE a.a. 22-3 L. orrelli 1 Livelli I 4: MOV L,TOTLE XOR X,X XOR X,X MOV L,STRING[X] IN X LOOP I 4 Livello assemblativo Livello di Sistema Operativo 11111 1111 11 111 111 111 Livello

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti rchitettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff.. orghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Circuiti e reti combinatorie. Appendice A (libro italiano) + dispense

Circuiti e reti combinatorie. Appendice A (libro italiano) + dispense Circuiti e reti combinatorie Appendice A (libro italiano) + dispense Linguaggio del calcolatore Solo assenza o presenza di tensione: o Tante componenti interconnesse che si basano su e Anche per esprimere

Dettagli

Calcolo numerico e programmazione Elementi di logica

Calcolo numerico e programmazione Elementi di logica Calcolo numerico e programmazione Elementi di logica Tullio Facchinetti 23 marzo 2012 10:50 http://robot.unipv.it/toolleeo Algebra booleana (George Boole (1815-1864)) è definita

Dettagli

Esercizio , (+61,81) CA2: , = , (-61,81)

Esercizio , (+61,81) CA2: , = , (-61,81) Compito A Es. : Esprimi in complemento a due il numero decimale - 6,8 arrestandosi al 6 bit dopo la virgola. Esprimi lo stesso numero normalizzato in virgola mobile. Quanti bit sono necessari complessivamente

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Calcolatori Elettronici 1 Algebra booleana: introduzione Per descrivere i dispositivi digitali è necessario avere: Un modello che permette di rappresentare insiemi di numeri binari

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo:

Dettagli

Y = A + B e si legge A or B.

Y = A + B e si legge A or B. PORTE LOGICHE Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei circuiti

Dettagli

Corso di studi in Ingegneria Elettronica A.A. 2006/2007. Calcolatori Elettronici. Esercitazione n 2

Corso di studi in Ingegneria Elettronica A.A. 2006/2007. Calcolatori Elettronici. Esercitazione n 2 Corso di studi in Ingegneria Elettronica A.A. 26/27 Calcolatori Elettronici Esercitazione n 2 Codici a correzione di errore Recupero degli errori hardware tramite codifiche ridondanti Codifiche con n =

Dettagli

Architetture 1 AA Canale EO Andrea Sterbini 26 Gennaio Parte 1

Architetture 1 AA Canale EO Andrea Sterbini 26 Gennaio Parte 1 Esercizio 1 (5 punti) Architetture 1 AA 2003-2004 Canale EO Andrea Sterbini 26 Gennaio 2004 Parte 1 Si dimostri senza usare l'induzione perfetta l'identità: x y x y x y z=x z x y x y z Esercizio 2 (10

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1 Reti combinatorie Reti sequenziali Sommatore Sottrattore Generatore di sequenze Riconoscitore di sequenze PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà eseguire, in un

Dettagli

Introduzione ed elementi dell'algebra di Boole

Introduzione ed elementi dell'algebra di Boole Introduzione ed elementi dell'algebra di Boole CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) Università degli Studi di Napoli Federico II Il Calcolatore Elettronico è un sistema:»

Dettagli

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna Logica binaria Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 Rappresentazione dell'informazione I calcolatori

Dettagli

Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4.

Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4. I Soluzioni agli esercizi apitolo 2 Soluzione 2.. Partendo dall espressione a destra dell uguale si applica ripetutamente il teorema di e Morgan ed infine la proprietà distributiva. Soluzione 2.2. cb +

Dettagli

I Circuiti combinatori: LOGISIM

I Circuiti combinatori: LOGISIM 1 ISTITUTO DI ISTRUZIONE SUPERIORE ANGIOY I Circuiti combinatori: LOGISIM Prof. G. Ciaschetti 1. Le porte logiche Un circuito combinatorio (o sistema combinatorio o rete combinatoria) è un circuito elettrico,

Dettagli

Fondamenti di Informatica. Algebra di Boole

Fondamenti di Informatica. Algebra di Boole Fondamenti di Informatica Prof. Marco Lombardi A.A. 2018/19 L 1/3 Un po di storia Il matematico inglese George Boole nel 1847 fondò un campo della matematica e della filosofia chiamato logica simbolica

Dettagli

associate ai corrispondenti valori assunti dall uscita.

associate ai corrispondenti valori assunti dall uscita. 1. Definizione di variabile logica. Una Variabile Logica è una variabile che può assumere solo due valori: 1 True (vero, identificato con 1) False (falso, identificato con 0) Le variabili logiche si prestano

Dettagli

Il livello logico digitale

Il livello logico digitale Il livello logico digitale porte logiche e moduli combinatori Algebra di commutazione Algebra booleana per un insieme di due valori Insieme di elementi A={,} Operazioni NOT (operatore unario) => = e =

Dettagli

Algebra di Boole X Y Z V. Algebra di Boole

Algebra di Boole X Y Z V. Algebra di Boole L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole che

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Calcolatori Elettronici 1 Algebra booleana Operazione: una operazione op sull'insieme S={s1,s2,...} è una funzione op : SxS S che da SxS (S cartesiano S) porta in S. Calcolatori

Dettagli

Componenti notevoli combinatori

Componenti notevoli combinatori Corso di Laurea in Informatica Componenti notevoli combinatori Architettura dei Calcolatori Prof. Andrea Marongiu andrea.marongiu@unimore.it Anno accademico 2018/19 Demultiplexer / Decoder (1/2) Il demultiplexer

Dettagli

SOLUZIONI DEL PRIMO ESONERO di PROGETTAZIONE di SISTEMI DIGITALI CANALE MZ prof.ssa Massini FILA A

SOLUZIONI DEL PRIMO ESONERO di PROGETTAZIONE di SISTEMI DIGITALI CANALE MZ prof.ssa Massini FILA A SOLUZIONI DEL PRIMO ESONERO di PROGETTAZIONE di SISTEMI DIGITALI CANALE MZ prof.ssa Massini FILA A Esercizio. Siano dati i seguenti numeri binari in rappresentazione con virgola mobile ( bit di segno,

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Algebra di Boole e Circuiti Logici Prof. Christian Esposito Corso di Laurea in Ingegneria Meccanica e Gestionale (Classe I) A.A. 2017/18 Algebra di Boole e Circuiti Logici L Algebra

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 12

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 12 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 12 Prof. Rosario Cerbone rosario.cerbone@libero.it a.a. 2005-2006 http://digilander.libero.it/rosario.cerbone L approccio controllore-data path. In

Dettagli

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30 Sistemi per la Progettazione Automatica Informatica - Tiziano Villa 17 Marzo 2008 Nome e Cognome: Matricola: Posta elettronica: problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3

Dettagli

Fondamenti di Informatica e Programmazione

Fondamenti di Informatica e Programmazione Fondamenti di Informatica e Programmazione Prof. G ianni D Angelo Email: giadangelo@unisa.it A. A. 2018/19 Circuito Logico Il cuore di un sistema digitale è il circuito logico digitale Progettato a partire

Dettagli

Reti Combinatorie: sintesi

Reti Combinatorie: sintesi Reti Combinatorie: sintesi Sintesi di reti combinatorie Una rete combinatoria realizza una funzione di commutazione Data una tabella di verità è possibile ricavare più espressioni equivalenti che la rappresentano.

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

La seconda forma canonica Circuiti notevoli. Sommario

La seconda forma canonica Circuiti notevoli. Sommario La seconda forma canonica Circuiti notevoli Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimenti: Sezione C3. 1/41 Sommario

Dettagli

Dalla tabella alla funzione canonica

Dalla tabella alla funzione canonica Dalla tabella alla funzione canonica La funzione canonica è la funzione logica associata alla tabella di verità del circuito che si vuole progettare. Essa è costituita da una somma di MinTerm con variabili

Dettagli

Costruzione di. circuiti combinatori

Costruzione di. circuiti combinatori Costruzione di circuiti combinatori Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1 A B (A + B) 0 0 0 0 1 1 1 0 1 1 1 1 AND (prodotto): l uscita è 1

Dettagli

Esercizio 2: controllare l identità delle seguenti due funzioni di 4 variabili :

Esercizio 2: controllare l identità delle seguenti due funzioni di 4 variabili : Compito A Esercizio 1 Data la seguente tabella di verità ricavare la forma canonica congiuntiva e disgiuntiva. Ricavare poi la EB minima usando le mappe di Karnaugh. a b c y 0 0 0 1 0 0 1 0 0 1 0 1 0 1

Dettagli

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione CIRCUITI COMBINATORI Un circuito combinatorio (o rete combinatoria) è un insieme interconnesso di porte logiche il cui output, istante per istante dipende unicamente dallo stato che gli ingressi della

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Cosa sono le reti logiche? Fino ad ora abbiamo visto Rappresentazione dell informazione Assembler L obbie:vo di questo corso è mostrare come si proge>o una computer

Dettagli

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico ISTITUTO TECNICO STATALE MARCHI FORTI Viale Guglielmo Marconi n 16-51017 PESCIA (PT) - ITALIA PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico Docente PARROTTA GIOVANNI

Dettagli

Esercitazioni di Reti Logiche. Lezione 2 Algebra Booleana e Porte Logiche. Zeynep KIZILTAN zkiziltan@deis.unibo.it

Esercitazioni di Reti Logiche. Lezione 2 Algebra Booleana e Porte Logiche. Zeynep KIZILTAN zkiziltan@deis.unibo.it Esercitazioni di Reti Logiche Lezione 2 Algebra Booleana e Porte Logiche Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Algebra booleana Funzioni booleane e loro semplificazioni Forme canoniche Porte

Dettagli

Parte IV Indice. Algebra booleana. Esercizi

Parte IV Indice. Algebra booleana. Esercizi Parte IV Indice Algebra booleana operatori logici espressioni logiche teoremi fondamentali tabelle di verità forme canoniche circuiti logici mappe di Karnaugh Esercii IV. Algebra booleana L algebra booleana

Dettagli

Laboratorio di Programmazione Laurea in Ingegneria Civile e Ambientale

Laboratorio di Programmazione Laurea in Ingegneria Civile e Ambientale Dipartimento di Ingegneria dell'informazione Università degli Studi di Parma Laboratorio di Programmazione Laurea in Ingegneria Civile e Ambientale Algebra di Boole Stefano Cagnoni Algebra di Boole L'algebra

Dettagli

Sistemi Combinatori & Mappe di Karnaugh

Sistemi Combinatori & Mappe di Karnaugh Sistemi Combinatori & Mappe di Karnaugh AB E=0 F=0 E=1 F=0 00 01 11 10 AB 00 01 11 10 00 1 0 0 0 00 0 0 0 0 01 0 0 0 0 01 0 0 0 0 11 0 0 1 0 11 0 0 1 0 10 0 0 0 1 10 0 0 0 1 AB 00 01 11 10 AB 00 01 11

Dettagli

Quante sono le combinazioni possibili n cifre che possono assumere i valori 0 e 1? Le combinazioni possibili sono 2 n.

Quante sono le combinazioni possibili n cifre che possono assumere i valori 0 e 1? Le combinazioni possibili sono 2 n. Lezioni di Architettura degli elaboratori O. D antona Le funzioni booleane Funzione booleana La funzione booleana è un applicazione dall insieme dei numeri le cui cifre sono composte da 0 e 1 all insieme

Dettagli

Informatica e Bioinformatica: Circuiti

Informatica e Bioinformatica: Circuiti Date TBD Macchina Hardware/Software Sistema Operativo Macchina Hardware La macchina hardware corrisponde alle componenti fisiche del calcolatore (quelle viste nella lezione precedente). Un sistema operativo

Dettagli

Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi. Mercoledì 8 ottobre 2014

Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi. Mercoledì 8 ottobre 2014 Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi Mercoledì 8 ottobre 2014 Notazione scientifica normalizzata La rappresentazione in virgola mobile che adotteremo si basa sulla notazione

Dettagli

Circuiti Logici. Pagina web del corso:

Circuiti Logici. Pagina web del corso: Circuiti Logici Pagina web del corso: http://www.math.unipd.it/~aceccato Macchina hardware e macchina software Agli albori il computer era essenzialmente una CPU collegata ad una piccola RAM Ogni istruzione

Dettagli

Moduli combinatori Barbara Masucci

Moduli combinatori Barbara Masucci Architettura degli Elaboratori Moduli combinatori Barbara Masucci Punto della situazione Ø Abbiamo studiato le reti logiche e la loro minimizzazione Ø Obiettivo di oggi: studio dei moduli combinatori di

Dettagli

Fondamenti di Informatica. P r o f. R a f fa e l e P i z zo l a n t e A. A / 1 7

Fondamenti di Informatica. P r o f. R a f fa e l e P i z zo l a n t e A. A / 1 7 Fondamenti di Informatica P r o f. R a f fa e l e P i z zo l a n t e A. A. 2 0 1 6 / 1 7 Circuito Logico Il cuore di un sistema digitale è il circuito logico digitale Progettato a partire da porte logiche

Dettagli

Algebra di commutazione. Reti combinatorie

Algebra di commutazione. Reti combinatorie lgebra di commutazione Reti combinatorie Corso CSO prof. C. Silvano lgebra di oole L algebra di oole (dal suo inventore, il matematico inglese George oole, 1815-1864) 86 serve e a descrivere e e le operazioni

Dettagli

Cognome:, Nome: Matricola:

Cognome:, Nome: Matricola: I Prova Intercorso Fondamenti di Informatica e Programmazione, A.A. 2017/18 Docente: R. Pizzolante Traccia C Cognome:, Nome: Matricola: Spazio riservato alla commissione esaminatrice 1 2 3 4 5 6 7 8 9

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori circuiti combinatori: ALU slide a cura di Salvatore Orlando, Marta Simeoni, Andrea Torsello 1 ALU ALU (Arithmetic Logic Unit) circuito combinatorio all interno del processore per l esecuzione di istruzioni

Dettagli

Mux X I 7..0 O 3 S 2..0 X 1 X 2

Mux X I 7..0 O 3 S 2..0 X 1 X 2 pprofondimento multiplexer Mi serve un multiplexer a 8 vie, ma dispongo solo di molti multiplexer a 2 vie X 0 X 1 X 2 X 3 X 4 X 5 X 6 X 7 S 0 S 0 S 0 S 0 S 0 =0 per indici pari ed 1 per indici dispari

Dettagli

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali Tutorato di Calcolatori

Dettagli

Architettura degli elaboratori Ricapitolando (ciascuna freccia rappresenta un procedimento, che vedremo)

Architettura degli elaboratori Ricapitolando (ciascuna freccia rappresenta un procedimento, che vedremo) Ricapitolando 1:1 A + /A /B :1 :1 0 1 0 1 0 1 1 1 1 Tabella verità Espressione booleana Architettura degli elaboratori - 30 - Ricapitolando (ciascuna freccia rappresenta un procedimento, che vedremo) Analisi

Dettagli

Algebra di Boole Algebra di Boole

Algebra di Boole Algebra di Boole 1 L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole

Dettagli

ESAME DI ARCHITETTURA I COMPITO A

ESAME DI ARCHITETTURA I COMPITO A ESAME DI ARCHITETTURA I COMPITO A Esercizio (6 punti) Si consideri l automa di Mealy specificato dalla seguente tabella: S S/ S S S2/ S3/ S2 S2/ S3/ S3 S/ S/ S4 S/ S S5 S2/ S3/ ) Disegnare l automa. 2)

Dettagli

Laboratorio di Programmazione Laurea in Ingegneria Civile e Ambientale

Laboratorio di Programmazione Laurea in Ingegneria Civile e Ambientale Dipartimento di Ingegneria dell Informazione Università degli Studi di Parma Laboratorio di Programmazione Laurea in Ingegneria Civile e Ambientale Algebra di Boole Stefano Cagnoni Algebra di Boole L algebra

Dettagli

Tutorato architettura degli elaboratori modulo I (lezione 3)

Tutorato architettura degli elaboratori modulo I (lezione 3) Tutorato architettura degli elaboratori modulo I (lezione 3) Moretto Tommaso 03 November 2017 1 Algebra di Boole L aritmetica binaria è stata adottata perché i bit sono rappresentabili naturalmente tramite

Dettagli

Esercitazioni su circuiti combinatori

Esercitazioni su circuiti combinatori Esercitazioni su circuiti combinatori Salvatore Orlando & Marta Simeoni Arch. Elab. - S. Orlando - 1 Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1

Dettagli

CODIFICA DELLE INFORMAZIONI MODULO 5

CODIFICA DELLE INFORMAZIONI MODULO 5 CODIFICA DELLE INFORMAZIONI MODULO 5 INFORMAZIONI: tipi Le informazioni sono concetti astratti che esistono indipendentemente dalla loro rappresentazione Tutto ciò che ci circonda è informazione Qualche

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli

Algebra di Boole Esercizi risolti

Algebra di Boole Esercizi risolti Esercizi risolti 1 Esercizio Verificare mediante i teoremi fondamentali dell algebra di Boole o mediante induzione completa se per l operatore XOR vale la proprietà distributiva: a (b + c) = (a b)+(a c)

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Testo di riferimento: [Congiu] - 2.4 (pagg. 37 57) Reti Logiche Combinatorie 00.b Analisi Minimizzazione booleana Sintesi Rete logica combinatoria: definizione 2 Una rete logica combinatoria èuna rete

Dettagli

Cognome:, Nome: Matricola:

Cognome:, Nome: Matricola: I Prova Intercorso Fondamenti di Informatica e Programmazione, A.A. 2017/18 Docente: R. Pizzolante Traccia B Cognome:, Nome: Matricola: Spazio riservato alla commissione esaminatrice 1 2 3 4 5 6 7 8 9

Dettagli